Recent #Process technology news in the semiconductor industry

2 months ago

21年前,在Globalpress 'Leading the Recovery'会议中,一个小组讨论了'何时会有量产的90nm SoC'的问题。

来自Cadence Design Systems的高级副总裁Ted Vucurevich表示,预计最早在2004年将出现基于90nm工艺的FPGA设计。

来自Synopsys的Anton Domic认为,到2004年,将会有非FPGA芯片在90nm工艺上实现量产。

台积电的Andrew Moore也提到,公司的发展路线图显示,90nm工艺将在2004年实现。

量产90nm设计面临的挑战包括预期良率问题、客户信心问题、验证问题和成本问题。

Chip TechnologyEDAFoundriesProcess technologyTSMCsemiconductor
3 months ago
➀ Intel has launched a webpage showcasing its 18A (1.8nm-class) fabrication process; ➁ The process utilizes gate-all-around RibbonFET transistors and PowerVia for backside power delivery; ➂ It's the first to embrace industry-standard EDA tools and third-party IPs, aiming to attract external customers through Intel Foundry Services.
EDA toolsProcess technology
3 months ago

➀ Intel has announced that its Intel 18A process, the final and most important in its 'four years, five nodes' plan, is ready for tape-out in the first half of this year;

➁ The 18A process represents a significant breakthrough for Intel's IDM 2.0 strategy and is seen as a key signal for the revitalization of Intel Foundry Services (IFS);

➂ The 18A process will introduce several advanced semiconductor technologies, with a 30% increase in chip density and a 15% improvement in performance per watt compared to Intel's 3nm process;

➃ Intel plans to apply the 18A process to the upcoming Panther Lake laptop processors and Clearwater Forest server CPUs;

➄ The ribbonFET full-gate transistor and PowerVia backside power supply technology are the two major breakthroughs of the 18A process;

➅ The ribbonFET transistor achieves a full-gate (GAA) architecture, providing finer control of current flow and reducing power consumption and leakage;

➆ PowerVia backside power supply technology changes the logic of chip wiring, making the power supply path for transistors more direct and improving power supply efficiency;

➇ Intel's next-generation mobile processor Panther Lake and desktop processor Nova Lake will be based on the Intel 18A process, with the server CPU Clearwater Forest also planned for release in the first half of 2026;

➈ Intel will also cooperate with external chip design companies to customize chips, such as Amazon and Microsoft.

IntelProcess technologysemiconductor
3 months ago

➀ Intel has officially launched the introduction of its most advanced 18A process technology and claims it is 'ready';

➁ The 18A process is expected to enter mass production in mid-2025, with the Panther Lake processor from the Core Ultra 300 series as the first to use it, which is expected to be launched in the second half of this year;

➂ Compared to the Intel 3 process node, the 18A process improves performance per watt by 15% and chip density by 30%;

➃ Intel 18A uses RibbonFET GAA transistor technology for precise current control and improved performance per watt, minimum voltage operation, and electrostatic performance;

➄ The PowerVia背面供电 technology of Intel 18A can improve density and utilization rate by 5% to 10% and reduce resistance power drop, thereby improving ISO power performance by up to 4%;

➅ Intel 18A is expected to enter mass production in the second half of 2025, potentially leading the industry by nearly a year over TSMC's N2 process.

IntelProcess technology
3 months ago

➀ TechInsights and SemiWiki revealed key details about Intel's 18A (1.8nm) and TSMC's N2 (2nm) process technologies at the International Electron Devices Meeting (IEDM).

➁ Intel 18A offers higher performance, while TSMC N2 may provide higher transistor density.

➂ TSMC N2 can reduce power consumption by 24% to 35% compared to the previous generation, while Intel 18A has the highest performance among 2nm process technologies.

➃ TSMC's N2 has a higher density of high-density logic unit transistors, with a density of 313 MTx/mm2, followed by Intel with 238 MTx/mm2 and Samsung with 231 Mtx/mm2.

➄ TSMC's N2 is expected to have an average yield rate of >80% for 256Mb SRAM arrays, with a peak yield rate of >90%.

➅ Intel 18A is scheduled to enter mass production in mid-2025, while TSMC's N2 is planned for late 2025.

DensityProcess technologyperformancesemiconductor
4 months ago

➀ Intel's hopes of surpassing TSMC in process technology this year or next year have been challenged after TSMC announced that their A16 process will enter mass production in the second half of 2026.

➁ Intel plans to start ramping up its 18A process in H2 2025, but it suggests that volume production won't occur until 2026, while TSMC is expected to achieve high-volume production on its equivalent N2 process in H2 2025.

➂ With the potential acquisition rumors and investor frustration over Intel's struggle to catch up in process technology, the situation looks bleak for Intel.

IntelProcess technologyTSMC
5 months ago
➀ X-FAB Silicon Foundries升级了其XbloX平台,推动了SiC工艺技术,为功率MOSFETs提供更小的单元间距,增加了晶圆上的芯片数量,并改善了导通电阻而不损害可靠性;➁ 新工艺XSICM03现已提供早期访问;➂ XbloX平台通过集成合格的SiC工艺开发模块和模块,简化了上板流程,显著降低了设计风险和产品开发时间。
MOSFETPower MosfetProcess technologyReliabilitySiCsemiconductor
6 months ago
➀ Siemens Digital Industries Software announced that its Solido SPICE simulation tool is now certified for Samsung Foundry's FinFET and GAA fabrication processes, including various process technologies. ➁ Solido SPICE is also qualified for Samsung Foundry's FD-SOI 18FDS process technology. ➃ Samsung Foundry's vice president Hyung-Ock Kim emphasized the importance of this certification for IC developers.
EDAIPProcess technologySiemens EDASimulationsemiconductor
6 months ago
➀ Intel's preliminary $8.5 billion Chips Act funding may be reduced due to the company's plans to withdraw investment in Ohio and unconvincing process development results. ➁ The reduction may also be affected by the Pentagon's $3 billion foundry deal with Intel. ➂ The Chips Act grant is now unlikely to exceed $7.5 billion, and Intel is also in line for $11 billion in government loans.
Chips ActIntelProcess technologyTSMCUS Governmentfundingsemiconductor
6 months ago
➀ The article discusses the current state of the chip business and the competition between American companies like Intel and TSMC, with a focus on the importance of process technology. ➁ It highlights Intel's ambitious plan to surpass TSMC in four years and the challenges faced by both companies in developing new technologies. ➂ The article notes the historical trend of semiconductor industry innovation and the significance of the upcoming innovations in transistor and power delivery.
IntelProcess technologyTSMCTransistorsemiconductor
7 months ago
➀ TSMC will start producing 2nm GAA-based wafers in Q4 2025 at Fab 20 in Hsinchu; ➁ The production will be followed by Fab 22 in Kaohsiung in Q1 2026; ➂ N2P production will start in late 2026 without backside power delivery; ➃ N2 and N2P will use TSMC's NanoFlex technology; ➄ N2 is 15% denser than N3E and improves performance by 10% to 15%; ➅ N2E will be followed by N2P and N2X in 2026; ➆ TSMC's planned capacity for 2nm is more than for 3nm; ➇ 2nm wafers are expected to cost $30k+.
2nmGAAPower ConsumptionProcess technologyProductionTSMCperformancesemiconductor
8 months ago
➀ The Intel Xeon 6900P series, with 128 cores and 12 memory channels, marks Intel's return to server CPU leadership after trailing AMD for seven years; ➁ The series features new process technology and accelerators, aiming to compete with AMD's top-end parts; ➂ Intel's design strategy includes integrating memory controllers into compute tiles for better performance.
AMDChipletEMIBEPYCHigh-Performance ComputingIntelPackagingProcess technologyXeoncpuperformanceserver
8 months ago
➀ Intel's decision to outsource chip manufacturing and the delay in its 7nm CPU technology are discussed; ➁ The reasons behind Intel's stagnation in 14nm process technology are analyzed, including the obsession with transistor density and the pursuit of GAA FET manufacturing processes; ➂ The challenges faced by Intel's design department, including excessive ambition and rigid thinking, are highlighted; ➃ The impact of TSMC's involvement and the internal issues within Intel are explored; ➄ The history of Intel's chip manufacturing process is reviewed, highlighting key milestones and setbacks; ➅ The role of the Technology Manufacturing Group (TMG) in Intel's history and its recent restructuring is discussed; ➆ Intel's struggle with the Tick-Tock model and its impact on product development is analyzed; ➇ The internal conflicts and management issues within Intel are examined; ➈ The future prospects for Intel and its potential strategies for recovery are considered.
Design DepartmentIntelInternal ConflictsManagement IssuesProcess technologyTSMCTick-Tock Modelsemiconductor industry
8 months ago
➀ Intel has made a strong move after poor Q3 results, repositioning its foundry unit as an independent operation. This is aimed at attracting US rivals like AMD, Nvidia, and Qualcomm. The US Department of Commerce is encouraging this shift, with half of the world's top ten fabless companies based in the US. ➁ Intel's foundry unit is seen as a viable option for US hyperscalers looking for proprietary SOCs. ➂ With Intel's promise of the world's best foundry process next year, there's potential for significant growth.
AMDFabless companiesGAAIntelNVIDIAProcess technologyTSMCUS Governmentfoundrysemiconductor