Recent #EUV news in the semiconductor industry

9 days ago

➀ Intel's monopolistic mindset and dismissal of fabless models led to complacency, allowing TSMC and competitors to surpass its manufacturing dominance;

➁ Critical failures in 10nm/7nm process transitions, product delays, and strategic exits from mobile/GPU markets eroded Intel’s technological leadership;

➂ Despite recent government backing and leadership changes, Intel must regain trust through innovation and collaboration with fabless partners.

IntelTSMCEUV
2 months ago

➀ Ex-Intel CEO Pat Gelsinger advises Japan's Rapidus to develop unique differentiating technologies beyond production efficiency to compete with TSMC.

➁ Rapidus plans to integrate wafer fabrication and advanced packaging at the same facility for faster cycles, though full automation will not be available immediately from 2027.

➂ The company aims to begin 2nm test production with GAA transistors and establish a chiplets R&D center, utilizing ASML EUV lithography tools for future HBM and 3D packaging.

2nmAdvanced PackagingChipletEUVIntelRapidusTSMC
3 months ago

➀ Imec and Tokyo Electron (TEL) have extended their partnership to advance semiconductor R&D for nodes beyond 2nm, focusing on patterning, logic devices, memory, and 3D integration;

➁ Their prior collaboration achieved breakthroughs in High NA EUV lithography and EUV resist coating technology, critical for production-level EUV adoption;

➂ The renewed efforts target High NA patterning, CFET devices, and sustainable manufacturing processes to drive innovation and reinforce global semiconductor leadership.

2nmEUVsemiconductor
3 months ago

➀ Imec fabricated Ruthenium (Ru) interconnects at a 16nm pitch using a semi-damascene process, achieving record-low resistance of 656Ω/µm;

➁ The integration flow combined EUV-based SADP and optimized Ru etching to enhance cost-effectiveness and yield, with 90%+ yields at 18-22nm pitches;

➂ Imec is advancing pillar-based self-aligned vias and epitaxial Ru films to further reduce resistance and expand multi-layer interconnect scalability.

EUVImecsemiconductor
4 months ago

➀ ASML accelerates its Brainport Industries Campus expansion in the Netherlands, targeting operational readiness by 2028 instead of 2030.

➁ The new campus spans 357,000 sqm (≈50 football fields) near Eindhoven, with infrastructure upgrades and €1.7B government support.

➂ Expansion aims to meet global demand for EUV machines, though challenges like power grid limits and land acquisition remain.

ASMLEUVHPC
4 months ago

➀ University of Southampton installed JEOL JBX-8100 G3 EBL machine enabling sub-5nm structure processing in thick resist with vertical sidewalls;

➁ The 200kV system supports electron displacement and multi-domain applications (electronics/photonics/bio-nano), with a 300mm-wafer JEOL JBX-A9 tool to be added in late 2024;

➂ Funded by EPSRC, the facility integrates SEM and deep-UV lithography, using Genisys software for pattern optimization with ±9nm overlay accuracy.

EUVsemiconductor
4 months ago

➀ China has achieved a breakthrough in semiconductor research by establishing a fully functional EUV light source experimental platform;

➁ The Shanghai Institute of Optics and Fine Mechanics has reached a peak energy conversion efficiency of 3.42% in their EUV development efforts;

➂ This progress underscores China's commitment to technological independence despite increasing US export restrictions;

➃ China has become ASML's largest single market, accounting for 36.1% of total sales.

ChinaEUVLaserShanghai
5 months ago

➀ A prototype for an E-Bike terminal has been developed at the Hochschule Karlsruhe (HKA) as part of a project aiming for sustainable mobility;

➁ The terminal is constructed primarily from renewable resources (wood) and is designed to be replicable in other locations;

➂ The project includes a solar power system to charge the E-bikes and features social components such as a meeting point for students and staff.

2nm3D IC3nmAIAI ChipAI PCAMDArmAsusChipletCoolingDRAMDellEDAEMIBEUVGDDRGPUGaNHBMHPCInfineonLaptopLinuxMicrochipNPUNVIDIAPCIePrivacyRaspberry PiSK HynixSSDSoftwareSwitchTIautomotivecpucybersecuritygamingiosmemorymonitorsemiconductor
5 months ago

➀ This study explores the development of chitosan-silanized hexagonal boron nitride (hBN) nanocomposite films;

➁ It focuses on their structural, mechanical, and barrier properties;

➂ The research aims to enhance the performance of biodegradable polymer films, overcoming limitations of chitosan.

2nm3D IC3nmAIAI ChipAI PCAMDArmAsusChipletCoolingDRAMDellEDAEMIBEUVGDDRGPUGaNHBMHPCInfineonLaptopLinuxMicrochipNPUNVIDIAPCIePrivacyRaspberry PiSSDSoftwareSwitchTIautomotivecpucybersecuritygamingiosmemorymonitorsemiconductor
5 months ago

➀ MinebeaMitsumi has announced a tender offer to acquire thermistor specialist Shibaura Electronics to save it from a hostile takeover bid from Taiwan's Yageo Corp.;

➁ Shibaura Electronics, with a 13.5% world market share in thermistors, is a key player in vehicles, wind turbines, and industrial robots;

➂ Yageo Corp. plans to make a takeover bid on May 7th, offering $29 per share, but MinebeaMitsumi is offering $31 per share, totaling $485 million for the company.

2nm3D IC3nmAIAI ChipAI PCAMDArmAsusChipletCoolingDRAMDellEDAEMIBEUVGDDRGPUGaNHBMHPCInfineonLaptopLinuxMicrochipNPUNVIDIAPCIePrivacyRaspberry PiSK HynixSSDSoftwareSwitchTIautomotivecpucybersecuritygamingiosmemorymonitorsemiconductor
5 months ago

➀ Ed在日记中透露,关税问题让他感到自豪,他预见到这将是Liz Truss故事的翻版,并相应地应对。

➁ 到周二晚上,关税已使全球股市价值蒸发10万亿美元,而到周四早上,股市又上涨了6万亿美元。

➂ 所有金融人士都说,当政府债券开始抛售时,总统将改变方向,就像Liz在她预算后大量出售金边债券时那样。

2nm3D IC3nmAIAI ChipAI PCAMDArmAsusChipletCoolingDRAMDellEDAEMIBEUVGDDRGPUGaNHBMHPCInfineonLaptopLinuxMicrochipNPUNVIDIAPCIePrivacyRaspberry PiSK HynixSSDSoftwareSwitchTIautomotivecpucybersecuritygamingiosmemorymonitorsemiconductor
5 months ago

➀ Samsung has established a team to develop 1nm process technology using high-NA EUV machines for mass production in 2029, according to the Seoul Economic Daily.

➁ It is unclear whether Samsung has ordered any of ASML's $350 million high-NA EUV machines.

➂ Samsung denied reports of canceling its 1.4nm process development, admitting project delays and a rumored shift of resources to the 2nm process.

2nmEUVMass ProductionProcess technologySamsungTSMCWafersemiconductor
5 months ago

➀ Hamamatsu Photonics Europe has launched NZConnectMD Scan, an image acquisition platform for digital pathology workflows.

➁ The software allows for centralized control of multiple NanoZoomer MD Series scanners.

➂ Key features include barcode-based slide tracking, workflow balancing, and space optimization.

2nm3D IC3nmAIAI ChipAI PCAMDArmAsusChipletCoolingDRAMDellEDAEMIBEUVGDDRGPUGaNHBMHPCInfineonLaptopLinuxMicrochipNPUNVIDIAPCIePrivacyRaspberry PiSK HynixSSDSoftwareSwitchTIautomotivecpucybersecuritygamingiosmemorymonitorsemiconductor
5 months ago

➀ U.S. President Donald Trump has signed an executive order to create the United States Investment Accelerator Office;

➁ The office aims to negotiate better deals for taxpayers;

➂ There are concerns about the impact on existing contracts and awards.

2nm3D IC3nmAIAI ChipAI PCAMDArmAsusChipletCoolingDRAMDellEDAEMIBEUVGDDRGPUGaNHBMHPCInfineonLaptopLinuxMicrochipNPUNVIDIAPCIePrivacyRaspberry PiSK HynixSSDSoftwareSwitchTIautomotivecpucybersecuritygamingiosmemorymonitorsemiconductor
5 months ago

➀ A recent study introduces a Janus membrane for water purification and energy generation, aiming to address water scarcity and energy needs;

➁ The membrane uses cellulose nanofibers (CNF) and a modified MXene to improve performance over longer periods;

➂ The Janus membrane demonstrates better performance than traditional membranes, achieving a high evaporation rate and photothermal conversion efficiency, and effectively preventing salt buildup.

2nm3D IC3nmAIAI ChipArmChipletCoolingDRAMEDAEMIBEUVGDDRGaNHBMHPCLaptopMicrochipNPUPCIePrivacySSDSoftwareSwitchTIautomotivecybersecuritygamingiosmemorymonitorsemiconductor
5 months ago

➀ A student at the University of Applied Sciences in Hagen, Melanie Welp, has developed a contactless timing system for Paralympic swimming to prevent injury from head impacts.

➁ The prototype uses an ultrasonic sensor to measure the time at six meters and 50 centimeters before the edge of the pool.

➂ The system has been tested and shown to be possible without causing injury to swimmers.

2nm3D IC3nmAIAI ChipAI PCAMDArmAsusChipletCoolingDRAMDellEDAEMIBEUVGDDRGPUGaNHBMHPCInfineonLaptopLinuxMicrochipNPUNVIDIAPCIePrivacyRaspberry PiSK HynixSSDSoftwareSwitchTIautomotivecpucybersecuritygamingiosmemorymonitorsemiconductor
5 months ago

➀ A trawler caught 7 1/2 times as much fish as with conventional driftnet methods using new electronic fish-finding equipment developed by Kelvin and Hughes (Marine) limited;

➁ The story dates back to 65 years ago, when CERES (Combined Echo Ranging Echo Sounding) equipment was introduced;

➂ CERES combined echo-ranging and echo-sounding to improve fishing efficiency.

2nm3D IC3nmAIAI ChipAI PCAMDArmAsusChipletCoolingDRAMDellEDAEMIBEUVGDDRGPUGaNHBMHPCInfineonLaptopLinuxMicrochipNPUNVIDIAPCIePrivacyRaspberry PiSK HynixSSDSoftwareSwitchTIautomotivecpucybersecuritygamingiosmemorymonitorsemiconductor
5 months ago

➀ Ed向他的日记透露,执政不到一年的工党政府正在削减福利预算;

➁ 总理认为Ed是一个多面手,经常找他解决问题,Ed成了他的跑腿;

➂ 总理希望Ed帮助解决长期病假人员的问题,以减少福利预算开支,并避免政府形象受损。

2nm3D IC3nmAIAI ChipAI PCAMDArmAsusChipletCoolingDRAMDellEDAEMIBEUVGDDRGPUGaNHBMHPCInfineonLaptopLinuxMicrochipNPUNVIDIAPCIePrivacyRaspberry PiSK HynixSSDSoftwareSwitchTIautomotivecpucybersecuritygamingiosmemorymonitorsemiconductor
6 months ago

➀ Researchers developed lipid nanoparticles (LNPs) to deliver mRNA encoding the KRAS G12D neoantigen and cGAMP to reprogram the liver's immune environment and generate an antitumor response against metastatic pancreatic cancer.

➁ The LNPs successfully activated the type I interferon pathway, leading to the generation of CD8+ cytotoxic T cells capable of recognizing and attacking metastatic cancer cells.

➂ The study suggests that combining KRAS mRNA with a STING agonist strengthens the immune response against PDAC and offers a more effective approach than traditional immunotherapies.

2nm3D IC3nmAIAI ChipAI PCAMDArmAsusChipletCoolingDRAMDellEDAEMIBEUVGDDRGPUGaNHBMHPCInfineonLaptopLinuxMicrochipNPUNVIDIAPCIePrivacyRaspberry PiSK HynixSSDSoftwareSwitchTIautomotivecpucybersecuritygamingiosmemorymonitorsemiconductor
6 months ago

➀ Ed reflects on the sources of €1trn and his strategy to secure a share of it;

➁ Ed discusses the possibility of the US raising €800 billion for 'ReArm Europe' and €200 billion for 'InvestAI';

➂ Ed's assignment to the EU as 'Digital Envoy' and his plan to spend on meals and indulgences to identify influential figures in the spending of the funds.

2nm3D IC3nmAIAI ChipAI PCAMDArmAsusChipletCoolingDRAMDellEDAEMIBEUVGDDRGPUGaNHBMHPCInfineonLaptopLinuxMicrochipNPUNVIDIAPCIePrivacyRaspberry PiSK HynixSSDSoftwareSwitchTIautomotivecpucybersecuritygamingiosmemorymonitorsemiconductor