Recent #ASML news in the semiconductor industry

5 days ago

➀ Substrate, a San Francisco-based startup, is developing an alternative semiconductor manufacturing process that could reduce costs by half;

➁ The company has received over $100 million in investment and is using particle accelerators in its process;

➂ Substrate aims to compete with industry leaders like ASML, which currently dominates the market for semiconductor manufacturing equipment.

semiconductormanufacturingtechnologyStartupASML
5 days ago

➀ Substrate, a Peter Thiel-backed startup, raised $100 million to compete with ASML in lithography tools using X-Ray technology;

➁ Its proprietary particle accelerator-based X-Ray lithography claims to produce wafers at a fraction of ASML's EUV cost, achieving 2nm resolution;

➂ The team includes experts from TSMC, Applied Materials, and U.S. National Labs, with validation from defense and intelligence-linked investors like In-Q-Tel.

ASMLSubstratesemiconductor
5 days ago

➀ A secretive American startup named Substrate has emerged with the aim of challenging ASML and TSMC in the semiconductor industry.

➁ Substrate has developed a new chipmaking machine that uses particle acceleration for lithography, a crucial process for etching microscopic circuitry onto silicon wafers.

➂ The company aims to compete with ASML, whose equipment is currently the only option for making advanced processors.

semiconductor industryStartupASMLSubstrate
13 days ago

➀ ASML unveils the industry's first lithography scanner designed specifically for 3D packaging, with quadrupled productivity versus competitors;

➁ The Twinscan XT:260 supports 400nm resolution, handles warped wafers up to 1.7mm thick, and enables 4X reticle-sized interposers without stitching;

➂ This tool bridges the gap between front/back-end processes and accelerates adoption of advanced packaging technologies for AI/HPC applications.

ASML3D ICAdvanced Packaging
21 days ago

➀ A U.S. congressional report reveals China spent $38 billion on Western semiconductor tools in 2024, capturing 39% of global market share despite export controls;

➁ Restricted entities like SMIC and Huawei affiliates received 45% of sales, leveraging node-agnostic equipment gaps to produce 7nm chips for military/AI applications;

➂ Nine policy recommendations include expanding export bans, enhancing tracking, and supporting U.S. toolmakers to counter China’s $100B+ semiconductor self-sufficiency drive.

ASMLSEMiconductorExport Controls
about 1 month ago

➀ Counterpoint Research released Q2 2025 rankings highlighting the top five wafer fab equipment (WFE) manufacturers by revenue;

➁ ASML dominated with $8.72 billion, followed by Applied Materials ($7.32B), Lam Research ($5.17B), Tokyo Electron ($3.8B), and KLA ($3.17B);

➂ The list underscores the critical role of semiconductor manufacturing leaders in advancing global chip production infrastructure.

ASMLEUVsemiconductor
about 1 month ago

➀ EU member states push for quadrupling semiconductor investment to address lagging global production targets;

➀ Europe's 2022 Chips Act aimed for 20% global market share by 2030 but may only reach 11.7%;

➂ Dutch-led coalition proposes streamlined approvals, enhanced funding access, and dedicated budgets to boost EU chip sovereignty.

ASMLsemiconductor
about 2 months ago

➀ SMIC is testing a domestically developed immersion DUV lithography tool by Shanghai-based Yuliangsheng, aiming for production readiness in at least two years;

➁ Yuliangsheng, a subsidiary of Shenzhen's Si Carrier, is also working on an EUV machine codenamed 'Mount Everest';

➂ China’s DUV efforts include SMEE’s 28nm-capable system and existing ASML machines purchased before U.S. export restrictions.

ASMLSMICsemiconductor
about 2 months ago

➀ TSMC increased EUV-driven wafer production by 30x since 2019 and reduced tool power consumption by 24% through system-level optimizations;

➁ The company developed proprietary EUV pellicles with 4x lifespan and 80x lower defects, planning to retrofit a 200mm fab for mass production;

➂ TSMC enhanced photomask accuracy, planarization, and energy efficiency techniques to solidify its dominance in advanced semiconductor manufacturing.

ASMLEUVTSMC
about 2 months ago

➀ ASML invests €1.3B in French AI startup Mistral AI, becoming its largest shareholder through a €1.7B funding round;

➁ Mistral AI focuses on compact, task-optimized AI models with rapid iteration and permissive licensing, positioning it as a European rival to OpenAI and Meta;

➂ The partnership aims to enhance ASML's AI-driven semiconductor manufacturing processes, including computational lithography and yield optimization.

AI ChipASMLHPC
2 months ago

➀ SK hynix and ASML have assembled the industry's first High-NA EUV lithography system (Twinscan NXE:5200B) in South Korea, marking a leap in DRAM production technology;

➁ The 0.55 NA system enables higher resolution (8nm vs. 13nm) and 2.9x transistor density, reducing reliance on multi-patterning for advanced nodes;

➂ Initial R&D use will accelerate prototyping for AI memory, with mass production of High-NA-based DRAM expected post-2030.

ASMLSK Hynix
3 months ago

➀ In 2007, IMEC's Rudy Lauwereins stated that EUV was not mature enough for 32nm and likely 22nm semiconductor nodes, favoring immersion and double patterning techniques instead;

➁ Double patterning faced challenges like doubled mask costs and wafer throughput time, while device leakage issues at 22nm geometries highlighted scaling limits;

➂ IMEC proposed 3D-SIP (3D system-in-package) as a solution to sustain Moore’s Law momentum amid lithography and scaling barriers.

ASMLEUVImec
3 months ago
1. ASML introduces the Twinscan EXE:5000, a revolutionary high-NA EUV lithography machine enabling sub-2nm chip production; 2. The technology enhances resolution and efficiency, reducing costs for advanced semiconductor manufacturing; 3. ASML's innovation strengthens its market dominance and accelerates next-gen AI, IoT, and automotive chip development.
ASMLchip manufacturing
3 months ago

➀ Lam Research reported strong Q2 results with revenue of $5.17B and EPS of $1.33, but expects flat H2 performance and an uncertain 2026 outlook.

➁ China accounts for 35% of Lam's revenue (vs. 6% for the U.S.), highlighting geopolitical risks and America's lag in semiconductor manufacturing investments.

➂ Semiconductor equipment stocks (e.g., LRCX, ASML, AMAT) face profit-taking pressures due to AI-driven overvaluation and softening industry fundamentals.

Lam ResearchASMLSEMiconductor
4 months ago

➀ ASML reported Q2 2025 net sales of €7.7B, a gross margin of 53.7%, and net income of €2.3B, exceeding guidance expectations;

➁ The company highlighted progress in EUV lithography, including the first shipment of the TWINSCAN EXE:5200B system and strong DRAM-driven litho intensity;

➂ While forecasting a 15% sales increase for 2025, ASML expressed uncertainty about 2026 due to macroeconomic and geopolitical challenges, despite strong AI customer demand.

ASMLDRAMEUV