Recent #EUV news in the semiconductor industry

about 16 hours ago

➀ A startup seeks government funding to disrupt the chip industry with a low-cost wafer patterning technology using multiple ion beams, potentially rendering existing fabs obsolete and democratizing chip production;

➁ The initiative risks undermining Western geopolitical strategies focused on maintaining dominance in advanced chip technology through heavy investments in entities like ASML and TSMC;

➂ The author plans to exploit the situation by both suppressing the startup for personal gain and privately investing in it, leveraging leaked claims of government backing to attract funding.

semiconductorEUVHPC
about 1 month ago

➀ Counterpoint Research released Q2 2025 rankings highlighting the top five wafer fab equipment (WFE) manufacturers by revenue;

➁ ASML dominated with $8.72 billion, followed by Applied Materials ($7.32B), Lam Research ($5.17B), Tokyo Electron ($3.8B), and KLA ($3.17B);

➂ The list underscores the critical role of semiconductor manufacturing leaders in advancing global chip production infrastructure.

ASMLEUVsemiconductor
about 1 month ago

➀ High-NA EUV lithography faces significant challenges in 2nm node manufacturing due to photon absorption stochastics and reduced depth of focus, leading to edge roughness and resolution limitations;

➁ Multipatterning becomes mandatory for via connections below 10 nm, requiring up to four masks for source-drain contacts even with advanced lithography tools;

➂ Self-aligned patterning techniques and diagonal grid layouts are critical to minimize mask layers and address center-to-center spacing as small as 40 nm.

EUVMultipatterning2nm
about 1 month ago

➀ Nvidia's controversial investment in OpenAI sparks speculation about AI companies inflating valuations to sustain the AI narrative;

➁ Imec and ASML achieve breakthroughs in high numerical aperture EUV lithography, patterning 20nm-pitch structures for advanced ICs;

➂ Rendezvous Robotics secures $3M funding for its TESSERAE modular tile technology to enable large-scale in-orbit construction via swarm robotics.

AIEUVNVIDIA
about 2 months ago

➀ TSMC increased EUV-driven wafer production by 30x since 2019 and reduced tool power consumption by 24% through system-level optimizations;

➁ The company developed proprietary EUV pellicles with 4x lifespan and 80x lower defects, planning to retrofit a 200mm fab for mass production;

➂ TSMC enhanced photomask accuracy, planarization, and energy efficiency techniques to solidify its dominance in advanced semiconductor manufacturing.

ASMLEUVTSMC
2 months ago

➀ Intel's monopolistic mindset and dismissal of fabless models led to complacency, allowing TSMC and competitors to surpass its manufacturing dominance;

➁ Critical failures in 10nm/7nm process transitions, product delays, and strategic exits from mobile/GPU markets eroded Intel’s technological leadership;

➂ Despite recent government backing and leadership changes, Intel must regain trust through innovation and collaboration with fabless partners.

IntelTSMCEUV
3 months ago

➀ In 2007, IMEC's Rudy Lauwereins stated that EUV was not mature enough for 32nm and likely 22nm semiconductor nodes, favoring immersion and double patterning techniques instead;

➁ Double patterning faced challenges like doubled mask costs and wafer throughput time, while device leakage issues at 22nm geometries highlighted scaling limits;

➂ IMEC proposed 3D-SIP (3D system-in-package) as a solution to sustain Moore’s Law momentum amid lithography and scaling barriers.

ASMLEUVImec
3 months ago

➀ X-Epic and Agile Analog announced a strategic collaboration to advance EUV lithography technology for semiconductor manufacturing;

➁ The partnership aims to optimize analog IP designs for EUV processes, enhancing performance and efficiency in advanced nodes;

➂ The collaboration will accelerate development of EDA tools tailored for 3nm and below process technologies, benefiting AI and HPC applications.

Agile AnalogEUV
4 months ago

➀ ASML reported Q2 2025 net sales of €7.7B, a gross margin of 53.7%, and net income of €2.3B, exceeding guidance expectations;

➁ The company highlighted progress in EUV lithography, including the first shipment of the TWINSCAN EXE:5200B system and strong DRAM-driven litho intensity;

➂ While forecasting a 15% sales increase for 2025, ASML expressed uncertainty about 2026 due to macroeconomic and geopolitical challenges, despite strong AI customer demand.

ASMLDRAMEUV
4 months ago

➀ Ex-Intel CEO Pat Gelsinger advises Japan's Rapidus to develop unique differentiating technologies beyond production efficiency to compete with TSMC.

➁ Rapidus plans to integrate wafer fabrication and advanced packaging at the same facility for faster cycles, though full automation will not be available immediately from 2027.

➂ The company aims to begin 2nm test production with GAA transistors and establish a chiplets R&D center, utilizing ASML EUV lithography tools for future HBM and 3D packaging.

2nmAdvanced PackagingChipletEUVIntelRapidusTSMC
5 months ago

➀ Imec and Tokyo Electron (TEL) have extended their partnership to advance semiconductor R&D for nodes beyond 2nm, focusing on patterning, logic devices, memory, and 3D integration;

➁ Their prior collaboration achieved breakthroughs in High NA EUV lithography and EUV resist coating technology, critical for production-level EUV adoption;

➂ The renewed efforts target High NA patterning, CFET devices, and sustainable manufacturing processes to drive innovation and reinforce global semiconductor leadership.

2nmEUVsemiconductor
5 months ago

➀ Imec fabricated Ruthenium (Ru) interconnects at a 16nm pitch using a semi-damascene process, achieving record-low resistance of 656Ω/µm;

➁ The integration flow combined EUV-based SADP and optimized Ru etching to enhance cost-effectiveness and yield, with 90%+ yields at 18-22nm pitches;

➂ Imec is advancing pillar-based self-aligned vias and epitaxial Ru films to further reduce resistance and expand multi-layer interconnect scalability.

EUVImecsemiconductor
6 months ago

➀ ASML accelerates its Brainport Industries Campus expansion in the Netherlands, targeting operational readiness by 2028 instead of 2030.

➁ The new campus spans 357,000 sqm (≈50 football fields) near Eindhoven, with infrastructure upgrades and €1.7B government support.

➂ Expansion aims to meet global demand for EUV machines, though challenges like power grid limits and land acquisition remain.

ASMLEUVHPC
6 months ago

➀ University of Southampton installed JEOL JBX-8100 G3 EBL machine enabling sub-5nm structure processing in thick resist with vertical sidewalls;

➁ The 200kV system supports electron displacement and multi-domain applications (electronics/photonics/bio-nano), with a 300mm-wafer JEOL JBX-A9 tool to be added in late 2024;

➂ Funded by EPSRC, the facility integrates SEM and deep-UV lithography, using Genisys software for pattern optimization with ±9nm overlay accuracy.

EUVsemiconductor
6 months ago

➀ China has achieved a breakthrough in semiconductor research by establishing a fully functional EUV light source experimental platform;

➁ The Shanghai Institute of Optics and Fine Mechanics has reached a peak energy conversion efficiency of 3.42% in their EUV development efforts;

➂ This progress underscores China's commitment to technological independence despite increasing US export restrictions;

➃ China has become ASML's largest single market, accounting for 36.1% of total sales.

ChinaEUVLaserShanghai
7 months ago

➀ A prototype for an E-Bike terminal has been developed at the Hochschule Karlsruhe (HKA) as part of a project aiming for sustainable mobility;

➁ The terminal is constructed primarily from renewable resources (wood) and is designed to be replicable in other locations;

➂ The project includes a solar power system to charge the E-bikes and features social components such as a meeting point for students and staff.

2nm3D IC3nmAIAI ChipAI PCAMDArmAsusChipletCoolingDRAMDellEDAEMIBEUVGDDRGPUGaNHBMHPCInfineonLaptopLinuxMicrochipNPUNVIDIAPCIePrivacyRaspberry PiSK HynixSSDSoftwareSwitchTIautomotivecpucybersecuritygamingiosmemorymonitorsemiconductor
7 months ago

➀ This study explores the development of chitosan-silanized hexagonal boron nitride (hBN) nanocomposite films;

➁ It focuses on their structural, mechanical, and barrier properties;

➂ The research aims to enhance the performance of biodegradable polymer films, overcoming limitations of chitosan.

2nm3D IC3nmAIAI ChipAI PCAMDArmAsusChipletCoolingDRAMDellEDAEMIBEUVGDDRGPUGaNHBMHPCInfineonLaptopLinuxMicrochipNPUNVIDIAPCIePrivacyRaspberry PiSSDSoftwareSwitchTIautomotivecpucybersecuritygamingiosmemorymonitorsemiconductor
7 months ago

➀ MinebeaMitsumi has announced a tender offer to acquire thermistor specialist Shibaura Electronics to save it from a hostile takeover bid from Taiwan's Yageo Corp.;

➁ Shibaura Electronics, with a 13.5% world market share in thermistors, is a key player in vehicles, wind turbines, and industrial robots;

➂ Yageo Corp. plans to make a takeover bid on May 7th, offering $29 per share, but MinebeaMitsumi is offering $31 per share, totaling $485 million for the company.

2nm3D IC3nmAIAI ChipAI PCAMDArmAsusChipletCoolingDRAMDellEDAEMIBEUVGDDRGPUGaNHBMHPCInfineonLaptopLinuxMicrochipNPUNVIDIAPCIePrivacyRaspberry PiSK HynixSSDSoftwareSwitchTIautomotivecpucybersecuritygamingiosmemorymonitorsemiconductor
7 months ago

➀ Ed在日记中透露,关税问题让他感到自豪,他预见到这将是Liz Truss故事的翻版,并相应地应对。

➁ 到周二晚上,关税已使全球股市价值蒸发10万亿美元,而到周四早上,股市又上涨了6万亿美元。

➂ 所有金融人士都说,当政府债券开始抛售时,总统将改变方向,就像Liz在她预算后大量出售金边债券时那样。

2nm3D IC3nmAIAI ChipAI PCAMDArmAsusChipletCoolingDRAMDellEDAEMIBEUVGDDRGPUGaNHBMHPCInfineonLaptopLinuxMicrochipNPUNVIDIAPCIePrivacyRaspberry PiSK HynixSSDSoftwareSwitchTIautomotivecpucybersecuritygamingiosmemorymonitorsemiconductor