Recent #EUV Lithography news in the semiconductor industry

3 months ago

➀ Dinesh Bettadapur is the CEO of Irresistible Materials, a company specializing in EUV resist materials for semiconductor manufacturing.

➁ The company's MTR™ platform addresses limitations of traditional resist materials and offers significant cost savings.

➂ Irresistible Materials focuses on collaboration with leading semiconductor manufacturers and developing innovative solutions for EUV lithography.

EUV LithographyEUV ResistExecutive InterviewMaterial SciencePhotolithographySEMICONDUCTORSemiconductor MaterialsTechnology Innovation
4 months ago

➀ EUV光刻受到随机缺陷的影响,这些缺陷在曝光剂量的‘悬崖’处增加,即在曝光的上下限处缺陷密度呈指数增长。

➁ 增加的剂量会导致抗蚀剂厚度减少,这是由于氢诱导的蚀刻,这对抗蚀剂性能和缺陷率有影响。

➂ 存在一个最佳入射剂量范围,其中剩余抗蚀剂中吸收的剂量达到最大值,最小化随机缺陷的风险。

Absorption CoefficientEUV LithographyMetal Oxide ResistsResist LossStochastic Defectivity
3 months ago

➀ ASML's EUV lithography technology achieves extremely high precision, requiring massive resources and a total cost of $2.5 billion. The key technology includes emitting a laser to a tin droplet to produce a plasma light source, which is executed 50,000 times per second, with heat reaching 40 times that of the sun's surface. The EUV machine also relies on ultra-smooth mirrors with extremely high precision.

➁ ASML's technological progress supports Moore's Law, making semiconductors more advanced and helping companies like NVIDIA manufacture complex chips. ASML leads in the lithography field with a deep technological moat that is difficult for other companies to surpass.

➂ The precision (EUV) required costs $2.5 billion, involving 40 shipping containers, 20 trucks, and 3 large jet planes to transport one EUV machine.

➃ The EUV machine's light source involves emitting a laser to a tin droplet about the size of a pollen grain. This process must be executed 50,000 times per second, meaning it occurs every 0.00002 seconds.

➄ ASML's mirrors are incredibly smooth, with the largest flaw being less than one millimeter if the mirrors were as large as Germany.

➅ Moore's Law largely depends on ASML, and continued technological advancement will lead to more advanced semiconductors, enabling chips to execute more complex algorithms.

➆ No one is close to ASML in the lithography field, with their moat on another level.

ASMLEUV Lithographysemiconductor technology
3 months ago

➀ Intel has begun production with the first two of ASML's advanced lithography machines in its factory, showing early data indicating increased reliability over earlier models.

➁ Intel, which became the first chip manufacturer to receive these machines last year, plans to use them to develop 18A manufacturing technology for mass production later this year.

➂ Imec has demonstrated the first electrical yield results from 20nm spacing metal lines patterned using High NA EUV single patterning technology, showing good electrical yield and fewer random defects.

EUV LithographyImecIntelsemiconductor manufacturing
5 months ago

➀ Canon has delivered the first commercial version of a technology that could one day revolutionize the manufacturing of the most advanced silicon chips.

➁ NIL (nanoimprint lithography) can draw circuit features as small as 14 nanometers, matching the level of processors produced by Intel, AMD, and NVIDIA.

➂ Canon's approach is different from the EUV lithography system produced exclusively by ASML of the Netherlands.

EUV Lithography
5 months ago

➀ Samsung and SK Hynix, two major South Korean semiconductor companies, are taking different strategies in the EUV lithography technology field.

➁ Samsung is focusing on improving yields, while SK Hynix is focusing on long-term technological progress.

➂ Samsung has invested heavily in EUV lithography machines aims to maximize the production efficiency of various materials and components used in EUV lithography equipment.

➃ SK Hynix has dissolved its EUV TF and integrated it into the Future Technology Research Institute, emphasizing long-term technological progress.

EUV Lithographysemiconductor
5 months ago

➀ The importance of photoresist in semiconductor manufacturing and the necessity for resolution scaling to utilize new architectures and materials.

➁ The discussion on yield challenges, particularly with edge placement error (EPE) and line edge roughness (LER), and the need for improved understanding and tools to mitigate these issues.

➂ The introduction of directed self-assembly (DSA) as a strategy to reduce line/space photoresist correction errors and its application in 18 nm and 21 nm metal pitch.

➃ The need for new photoresists to match node processes at 12 nm pitch and the impact of defect density on EUV chip cost.

➄ The importance of high-throughput experimental methods, chemical analysis of random defects, and 3D length scale detection in process change exploration.

➅ The industry's demand for high quantum yield photoresists, defect formation analysis, and dry development techniques for organic photoresists.

EUV Lithographysemiconductor manufacturing
7 months ago
➀ Corning, known for making Gorilla Glass, is set to receive $32 million from the CHIPS and Science Act. ➁ The funds will support the expansion of its Canton, New York, manufacturing facility for specialized materials. ➂ This investment aims to strengthen the domestic semiconductor supply chain and support advanced EUV lithography.
CHIPS and Science ActEUV Lithographysemiconductor
7 months ago

➀ The U.S. Department of Commerce and the National Semiconductor Technology Center (NSTC) announced the construction of the first chip R&D flagship facility based on the U.S. Chip and Science Act.

➁ The facility, to be located in the Albany NanoTech Complex in New York, will focus on advanced EUV technology and related R&D.

➂ The project is expected to receive $825 million in proposed federal investment.

EUV Lithography
7 months ago

➀ The 11th Luminaries survey report by eBeam Initiative indicates a strong purchase prediction for multi-beam mask writers, promoting the growth of EUV and step-and-repeat lithography masks.

➁ Experts discussed the challenges faced in the adoption of step-and-repeat lithography masks at an event held concurrently with the SPIE Mask Technology Conference.

➂ The survey involved industry leaders from 44 companies in the semiconductor ecosystem, with Aki Fujimura, CEO of D2S, Inc., and Naoya Hayashi, DNP Honorary Researcher, leading the discussion on the survey results.

EUV Lithography
7 months ago

➀ The article discusses the role of absorber materials in high-NA EUV lithography and their impact on imaging.

➁ The development of EUV mask technology is driven by multi-beam mask writers, with Intel and Infineon among the key players.

➂ The European Mask and Lithography Conference 2024 highlighted advancements in EUV technology, including high-NA EUV, e-beam mask patterning, AI applications, and advanced lithography resins.

EUV Lithographysemiconductor manufacturing
7 months ago
1. ASML Holding N.V. is a market leader with a monopoly in the EUV lithography market, currently trading 37% off its high. 2. Despite short-term concerns, ASML's long-term outlook remains robust with a potential upside of 43.3% within nine quarters. 3. The company's limited competition allows for pricing power and high profitability, and it is less cyclical than popular chip stocks.
EUV Lithographysemiconductor
7 months ago

➀ Rapidus aims to mass-produce 2 nanometer chips by 2027 in its plant in Hokkaido, Japan, and is set to start receiving Extreme Ultraviolet (EUV) lithography machines in December;

➁ The local media reports that the advanced process trial production line of Rapidus' Hokkaido plant is less than 6 months away from startup, and it is expected to bring over 1.8 trillion yen of economic benefits to the local area;

➂ The Hokkaido New Industry Cluster Bureau, an industrial organization supporting the establishment of a domestic semiconductor ecosystem in Japan, estimates that by the fiscal year 2036, the economic chain effect of Rapidus' plant in Hokkaido could reach as high as 1.88 trillion yen.

EUV LithographyRapidussemiconductor
8 months ago
➀ Corning has launched a new ultra-low expansion (ULE) glass material called EXTREME ULE, designed to support High NA EUV lithography for the mass production of advanced microchips. ➁ The material boasts ultra-low thermal expansion, enhancing the performance of EUV masks. ➂ Its exceptional flatness minimizes unnecessary changes in chip manufacturing, allowing for the use of advanced thin films and lithography materials. ➃ EXTREME ULE glass is part of Corning's ULE product line, a titania silicate glass with near-zero expansion properties, traditionally used in EUV masks and lithography mirrors.
EUV Lithographysemiconductor
8 months ago
➀ Corning has unveiled Corning® EXTREME ULE® Glass, a next-generation material designed for mass-producing advanced microchips crucial for AI technologies. ➁ The glass can withstand high-intensity EUV lithography and is essential for the production of the most advanced and cost-efficient microchips. ➂ EXTREME ULE® Glass maintains remarkable consistency and performance across all photomasks, reducing waviness and variability, and enabling advanced coating applications.
Advanced MaterialsEUV Lithography
9 months ago
➀ Samsung Electronics plans to reduce its procurement of ASML's next-generation EUV lithography equipment. ➁ The company initially planned to purchase multiple units of EXE:5200, EXE:5400, and EXE:5600, but now will only introduce the EXE:5200. ➂ The decision follows a review by the new head of the DS division, Jun Young-hyun, and impacts the joint R&D center plans with ASML.
EUV LithographySamsungsemiconductors